CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - max plus vhdl

搜索资源列表

  1. EXA01

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能 -VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:41918
    • 提供者:haongodng
  1. EXA02

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:57466
    • 提供者:haongodng
  1. EXA03

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:39008
    • 提供者:haongodng
  1. EXA04

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:173796
    • 提供者:haongodng
  1. EXA05

    0下载:
  2. 一个关于VHDL的cpld开发实验程序,通过运用max+plus 运行程序,实现实验相关功能-VHDL CPLD on the development of experimental procedures, through the use of max+ plus run the program, the experimental implementation-related features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:94525
    • 提供者:haongodng
  1. bym

    0下载:
  2. 在Max+plusΠ环境下用VHDL语言编写实现基于CPLD的CMI编译码器设计-In Max+ plusΠ environment using VHDL language CPLD-based design of CMI codecs
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:莫迎宾
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
  1. thesecondsignalfunction

    0下载:
  2. 秒信号发生器,供初学者了解vhdl的编程方法,程序非常简单。编程环境使用Max+Plus IIV10.12-the second signal function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3932
    • 提供者:孙天奇
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform designed billing syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:183616
    • 提供者:cch
  1. 11912911lunwen

    0下载:
  2. 本文主要介绍以EP1C3/EP1C6芯片进行十字路口的交通控制灯的设计,该系统可控制2个方向的红、黄、绿三盏灯,让其按特定的规律进行变化。用EP1C3/EP1C6作为交通控制灯的主控芯片,采用VHDL语言编写控制程序,利用MAX+PlusⅡ对设计结果进行仿真,发现系统工作性能良好。据此设计而成的硬件电路,也实现了控制要求。该设计展示了VHDL语言的强大功能和优秀特性。-This paper introduces the crossroads EP1C3/EP1C6 chip design of
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:299657
    • 提供者:灰太狼
  1. EDAVHDL

    0下载:
  2. VHDL硬件描述语言 MAX+PLUSⅡ介绍 CPLD数字发展实验系统简介以及十个数字电路和数字系统实验的源代码和介绍-VHDL hardware descr iption language introduced the MAX+ PLUS Ⅱ Introduction CPLD digital development of experimental systems, as well as 10 digital circuits and digital systems, the source c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:674916
    • 提供者:bryan
  1. sy1_yt

    0下载:
  2. 在max-plus 环境下使用vhdl语言实现用半加器组成全加器的功能。-In the max-plus environment, using vhdl language component with half adder full adder function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:81971
    • 提供者:cy
  1. 100vhdlsimple

    0下载:
  2. 100个vhdl例子,对初学者很有用,可以用MAX+PLUS 2来编译仿真的-100 vhdl example, useful for beginners, you can use the MAX+ PLUS 2 to compile the simulation
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:233522
    • 提供者:刘超
  1. longwen

    0下载:
  2. 本文先介绍了整个系统的硬件电路设计,而后用VHDL硬件描述语言完成了系统控制程序的编写,并对系统进行了程序仿真及调试验证。本文特别详细的讨论了系统程序的编写,因为他关系到整个系统的功能实现,也是本设计的重点所在。设计中选用Protel 99se作为电路图编辑软件,选用Max+plusⅡ作为仿真环境。-This article first describes the overall system hardware design, then use the VHDL hardware descr
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:750734
    • 提供者:晨曦
  1. cangyongEDAgjzn

    0下载:
  2. 4.1 Altera MAX+plusⅡ操作指南 4.1.1 MAX+plusⅡ10.2的安装 4.1.2 MAX+plusⅡ开发系统设计入门 4.2 Xilinx ISE Series的使用 4.2.1 ISE的安装 4.2.2 ISE工程设计流程 4.2.3 VHDL设计操作指南 4.2.4 ISE综合使用实例 4.3 Lattice ispDesignEXPERT的使用 4.3.1 ispDesignEXPERT的安装 4.3.2 原理图输入方式设计
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1431544
    • 提供者:lulu
  1. AutomobiletaillightcircuitPLDrealization

    0下载:
  2. 这个话题主要基于可编程逻辑器件,用硬件描述语言(VHDL)硬件描述语言(VHDL),采用“自顶向下”的设计方法,写一汽车尾灯控制器芯片,并且使用“最大加二世的软件模拟的结果。-This topic is mainly based on programmable logic devices, use VHDL VHDL, adopting "top-down" design methods, write an automobile tail light controller chip, and u
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:874475
    • 提供者:吴丹
  1. 2

    0下载:
  2. EDA的课程设计,利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片EPF10K10,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。-EDA curriculum design, the use of VHDL language, PLD design FPGA-based taxi billing s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8175
    • 提供者:wang
  1. count

    0下载:
  2. 1.用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真。 -1. VHDL design with a clear end to end so that the count range of 0 to 999 in the counter, the output is 8421B
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:208546
    • 提供者:小白
  1. Four-adder-of-subtracter

    0下载:
  2. 在max+plus II 的环境下设计4位全加器数字电路 使用vhdl语言,进行设计数字电路的RTL级电路 -Four full adder digital circuit design environment, max+ plus II RTL-level circuit, digital circuit design using vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:566987
    • 提供者:东方不败
  1. FPGA

    1下载:
  2. FPGA交通灯说明: 1. 本程序使用VHDL加原理图方式设计而成。 2. 实验时,使用Quartus II软件完成了工程管理与下载验证,使用max+plus II软件进行了功能仿真。 3. 由于实验当时对原理图文件缺乏足够的认识,导致原原理图以及仿真输出文件已经丢失。现在的工程 RTL视图以及仿真输出波形均是在Quartus II软件下得到的。-FPGA traffic lights shows:1procedures for the use of the VHDL sch
  3. 所属分类:VHDL编程

    • 发布日期:2018-04-18
    • 文件大小:455885
    • 提供者:WangQunfeng
« 1 2 34 »
搜珍网 www.dssz.com